Notice
Recent Posts
Recent Comments
Link
«   2024/10   »
1 2 3 4 5
6 7 8 9 10 11 12
13 14 15 16 17 18 19
20 21 22 23 24 25 26
27 28 29 30 31
Archives
Today
Total
관리 메뉴

DDOLKONG

[산업] 반도체 핵심용어 및 Flow 정리 본문

투자

[산업] 반도체 핵심용어 및 Flow 정리

DDOL KONG 2020. 10. 21. 04:26

blog.naver.com/daru0326/222110115199

 

[산업] 반도체 핵심용어 및 Flow 정리

들어가며반도체 핵심용어와 그 Flow​를 정리한 글입니다.​반도체 공부 시 사전처럼 이용하면 좋을 듯 합...

blog.naver.com

1. 반도체 관련 주요 용어

▶ 반도체

- 반도체 물질(Si, Ge, IGZO 등)(*) → 반도체 소자(IC(집적회로)) → 반도체 제품(CPU, RAM 등)

(* 특정 조건하에서만 전기가 통하는 물질)

- 일반적으로 반도체 제품들을 반도체로 통칭함

- 비메모리(시스템) 반도체(M/S 70%)(*), 메모리 반도체(M/S 30%)

(* 시스템 반도체 시장 내 한국 점유율은 한자릿대로 매우 낮다. 참고 블로그)

출처 : 비즈와치

* 반도체 선폭 / 공정 미세화 (14nm공정 → 10nm공정 → 7nm공정 ...)

- 반도체 선폭 : MOSFET 구조 반도체에서 채널길이

출처 : https://news.skhynix.co.kr/1472

- 공정 미세화 : 반도체 선폭을 미세화하여 성능을 높인 공정

- 최근 나온 14, 10, 7nm 공정 등은 실제 선폭과는 다르다. 즉, 무어의 법칙에 의해 임의로 붙인 선폭 길이라 볼 수 있다.

(최근 반도체는 단순히 선폭 감소뿐만이 아니라 Fin-FET 3D 구조, 공정성숙화(LPP, LPC, LPU 등)으로 성능이 향상된다. / 추가적인 설명)


▶ 트랜지스터

출처 : https://m.blog.naver.com/paval777/221487119720

- 반도체의 기초&핵심 소자. 칩 하나에 수천만개 ~ 수억개가 들어간다.

- 게이트(GATE)에 전압이 가해졌을 때에는 전류를 통하게 하고, 반대 상황에서는 전류를 끊는 역할을 한다.(수도꼭지라 보면 된다.)

- 트랜지스터를 통해 데이터(bit : 0, 1)를 처리한다.

* 트랜지스터의 발전

출처 : https://www.youtube.com/watch?v=2CExvJD5UUk

(MOSFET / 3D FinFET)

GAA / MBCFET (최신 기술)

- 기존은 평면형 구조 트랜지스터 사용(MOSFET). 그러나 반도체 크기가 작아질수록 게이트 간격이 좁아지고, 트랜지스터의 누설전류가 커진다.

- 이러한 한계를 개선하기 위해 3D 구조의 트랜지스터 등장(FinFET, Fin Field Effect Transistor) (14nm부터 사용)

- FinFET은 기판을 3D로 올려 게이트와의 접촉면적을 늘려주었다.

- GAA는 이러한 3D 기판을 분리하여 접촉면적을 더욱 늘려주었다.(3나노부터 사용)

- 트랜지스터 설계 핵심 2가지

1. 트랜지스터(및 기타 소자) 오작동 최소화

2. 전체 트랜지스터 설계를 정교화해서 반도체 역할(메모리반도체=제어 및 연산) 극대화


출처 : https://kylog.tistory.com/11

▶ CPU

- Central Procecssing Unit(중앙처리장치), 데이터 연산 작업을 수행 (*)

(* CPU 성능 : 아키텍처(~세대 → 클럭당 성능(IPC) 결정), 코어당 연산 속도(클럭=GHz), 저장공간(캐시메모리) 등이 중요하다.)

(* 추가로 코어 숫자도 성능에 영향을 준다. 멀티코어 : 듀얼(2) / 쿼드(4) / 옥타(8) 순서) (멀티코어 쉽게 설명)

- 참고 사이트 : 인텔 CPU 정리 (코어i 시리즈), AMD CPU 정리 (라이젠 시리즈)

출처 : 리눅스 저널

* 마이크로아키텍처 (CPU 아키텍처)

- CPU 작동 방식을 서술한 설계도. 설계의 개선/변화가 일어날 때 세대가 변화한다.

- 설계의 방향 : 제조 공정 최소화 / 트랜지스터 개수 최대화 / CPU 다이 크기 최소화(성능↑ , 소비전력↓)

* CPU 역사 도표

- https://quasarzone.com/bbs/qf_cmr/views/453008

* 모바일 AP(Moblie Application Proccessor)

- 연산 역할의 CPU를 포함한 다양한 기능이 하나의 칩으로 통합된 형태의 프로세서 (SoC : System on Chip)

- 퀄컴(스냅드래곤),애플(A 바이오닉), 삼성 - 시스템 LSI(엑시노스) 등

-AP 정리 사이트, AP 성능 비교 사이트

퀄컴 AP (출처 : SK하이닉스)


▶ RAM

- Random Access Memory(램, 주기억장치), 휘발성 메모리 저장장치 (*)

(* CPU와 저장장치 사이의 병목현상을 줄여주는 반도체 기반의 저장장치. 전원이 꺼지면 데이터가 지워짐)

* DRAM

- Dynamic RAM(동적 메모리)

- 시간이 흐름에 따라 데이터가 소멸된다.(따라서 데이터 유지를 위해 리프레시(재충전) 기능이 필요)

- 구성 : 트랜지스터 1개 + 콘덴서(캐패시터)(*) 1개 (* 캐패시터 : 데이커 저장기능)

- 현재는 DDR SDRAM 종류만 사용된다.(모바일용 LPDDR(Low Power DDR)도 있다.)

- 설계가 단순하고 고밀도로 제작 가능

* DDR SDRAM

- Double Data Rate Synchronous DRAM(이중 데이터 전송률 SDRAM)

- 이중 펌핑을 활용해, 클럭 주파수를 증가시키지 않으면서도 전송 속도를 2배로 높인 SDRAM

- 종류 : DDR1 ~ DDR5 (SK하이닉스 DDR5 세계 최초 출시(20.10.06.)) (DDR1 ~ DDR4 차이점)

- DDR SDRAM 현물가 조회 사이트 : D램익스체인지 (2020.09.17. 참고기사)

- 참고 사이트 : DDR SDRAM 나무위키

출처 : https://kocat.tistory.com/27 / https://udteam.tistory.com/808

* SRAM

- Static RAM(정적 메모리), CPU 내부의 캐시메모리로 사용된다.

- 전원을 공급하는 한 데이터를 보존한다.

- 구성 : 여러 개의 트랜지스터 사용

- 집적도가 낮고 회로구조가 복잡하여 대용량 생산이 어렵다. 이로 인해 그래픽카드 등 소용량의 메모리로 사용된다.


SSD

- Solid State Disk(보조기억장치), 비휘발성 메모리 저장장치

- 메모리 반도체(낸드 플래시 메모리)에 데이터를 기록한다.

- 구성 : 컨트롤러, 낸드플래시 메모리

- 컨트롤러(비메모리(시스템) 반도체) : 데이터 입출력, 수명관리 역할

출처 : https://harryp.tistory.com/88

* 플래시 메모리

- Flash Memory, 전원이 끊겨도 데이터를 보존하는 특성을 가진 반도체

- 타입 : NAND Flash(삼성전자, SK하이닉스, 키옥시아, WD, 마이크론 등) / NOR Flash(인텔)

출처 : 삼성반도체

* 낸드 플래시 메모리

- NAND Flash Memory

- 반도체의 셀이 직렬로 배열되어 있는 플래시 메모리의 한 종류

- 구성 : 트랜지스터 1개 (그 속에 데이터 저장 기능을 하는 플로팅 게이트 내포)

- 수직 배열을 통해 소형화, 대용량화가 가능하다.(다만, 데이터 출력 속도는 느리다.)

출처 : https://news.skhynix.co.kr/1938


▶ HDD

- Hard Disk Drive(보조기억장치), 비휘발성 메모리를 저장장치

- 자기장의 원리로 작동한다.(참고 : 유튜브), 반도체가 아니다.

- SSD 대비 기능은 낮지만 가격경쟁력이 높다.


▶ 그래픽카드

- CPU 명령의 그래픽 작업을 빠르게 처리하는 장치 (디지털 신호 → 영상 신호)

- 구성 : GPU, 쿨링케이스, 외부출력포트 등

- NVIDIA, AMD (팹리스 업체) 설계 → 파운드리 업체 위탁생산 → 그래픽 카드 제조업체(ASUS, GIGABYTE, MSI 등)가 완성

- 멀티 GPU 환경 구현도 가능하다.(NVIDIA : SLI(Scalable Link Interface), AMD : Crossfire)

* GPU

- Graphics Processing Unit(그래픽처리장치), 그래픽 연산을 담당하며 CPU의 부담을 줄여준다.

- 핵심은 수많은 코어를 통한 병렬연산. 그로 인해 훨씬 높은 메모리 대역폭을 필요로 한다. (작동 메커니즘 참고)

- GPGPU(General Purpose computing on GPU) : GPU를 이용한 범용연산 (암호화폐 채굴 등)

- APU도 존재한다.(AMD)

CPU와 GPU의 간략한 구조 (출처 : 나무위키)


2. 반도체 산업 생태계 용어

출처 : https://blog.naver.com/daru0326/222070289247 (E2 자료)

https://www.samsungsemiconstory.com/2225

출처 : https://1boon.kakao.com/cidermics/1841

▶ IDM(Integrated Device Manufacturer, 종합반도체)

- 설계부터 완제품 생산까지 모든 분야 운영 업체

▶ IP 기업(Intellectual Property)

- 칩리스 업체, <셀 라이브러리>(설계 블록)을 설계 업체에 제공하고 라이선스료(로열티)를 받는 업체

▶ 팹리스(Fabless, Fabrication less)

- 반도체 설계 기술은 있으나 생산 라인이 없는 업체

- 애플도 사실상 팹리스 기업. AP 설게 후 제조는 파운드리에 맡긴다.

▶ 디자인하우스

- 팹리스 업체의 설계를 파운드리 생산공정에 최적화시키는 업체 (연결다리 역할)

▶ 파운드리(Foundry)

- 반도체 제조과정만을 전담하는 위탁 생산업체 (참고 사이트)

- 설계뿐만 아니라 이를 제조하는 기술력(미세공정)도 매우 중요하다.

- 비메모리 반도체는 다품종, 소량생산 위주이며 섬세한 제조 기술이 필요하다. 따라서 비메모리 반도체에서 파운드리의 역할이 커진다.

▶ OSAT(Outsourced Semiconductor Assembly and Test, 후공정 업체)

- 어셈블리(패키징) 업체, 칩 포장과 테스트를 전문적으로 하는 업체


3. 반도체 공정 용어

반도체 8대 공정

출처 : https://blog.naver.com/daru0326/222070289247 (E2 자료)

- 전공정 : 웨이퍼를 가공하여 칩으로 제작하는 공정

- 후공정 : 칩을 보호하기 위해 패키징하는 공정


웨이퍼 제작

출처 : 삼성반도체, E2 자료

- 웨이퍼(Wafer) : 반도체 집적회로의 핵심 재료

- 잉곳(고순도(99.999999999%) 실리콘(Si) 기둥)을 절단하여 만든다. 따라서 원형판의 모양을 갖는다.

- 지름 크기 : 150mm(6인치), 200mm(8인치)(DB 하이텍, 매그나칩), 300mm(12인치)(SK실트론) (*)

(* 지름 크기가 커질수록 생산량이 기하급수적으로 증가. 그러나 최근에는 다품종 소량생산 선호로 8인치도 수요 증가)


산화 공정 (Oxidation)

출처 : 삼성반도체, E2 자료

- 산화 공정 : 웨이퍼 표면에 산소/수증기를 뿌려 산화막(SiO2)을 형성시키는 과정

- 산화막의 역할 : 실리콘 표면 보호, 분리 절연

- 산화막 형성 방법 : 열산화, 양극 처리, PECVD 등

- 열산화 방법 (800~1200℃ 고온에서 산화막 형성)

(1) 건식 산화 : 산소만을 사용 (전기적 특성이 좋다.)

(2) 습식 산화 : 산소, 수증기를 모두 사용 (동일 작업 기준으로 건식 산화보다 5~10배 두껍다.)


포토 공정 (Photo)

출처 : 삼성반도체, E2 자료 (EUV 장비는 현재 ASML 독점)

- 포토 리소그래피(Photo Lithography) : 웨이퍼 위에 포토마스크(*)를 빛을 이용해 비추어서 회로를 그려넣는 과정 (* 포토마스크 : 회로 패턴을 담은 필름. 사진 원판의 기능을 한다.)

- 미세 회로 패턴 구현은 전적으로 포토 공정에 의해 결정된다.​

- 블랭크마스크 : 패턴이 형성되기 전 마스크 (회로설계 패턴 -> 합성석영 유리기판 -> 전자 빔으로 회로 새겨넣음)​

- 작업 과정

(1) 감광액(PR, Photo Resist) 도포

(2) 노광(Stepper Exposure) : 포토마스크와 렌즈를 통과시킨 빛을 통해 회로를 찍어냄

- 전체 공정에서 소요되는 시간 중 60%, 노광기는 생산원가의 약 35% 차지 (중요)

- 광원에 따라 구현할 수 있는 최소 선폭이 결정됨. 미세공정에서는 미세선폭 필수

- 멀티패터닝 방법으로 미세공정 구현 가능

(3) 현상(Develop) : 현상액을 뿌려 '노광된 영역(양성 감광액) or 노광되지 않은 영역(음성 감광액)' 선택 제거


* 멀티 패터닝

- LELE(Litho-Etch-) : 노광과 식각 과정 반복 / (단점) 정확한 위치 정렬(오버레이) 문제

- SADP(Self-Aligned Double-Patterning) : 노광 이후 여러 번의 식각 및 증착 / (단점) 실질 공정수가 늘어남

- 초미세 공정을 위해 LELELE, SAQP(Quadruple, 4겹) 기법도 활용

- 적용 분야 : DRAM(1Xnm) 및 3D NAND


* EUV (Extreme UltraViolet)

출처 : E2 자료

- EUV : 노광 시 13.5nm 파장 구현 (해상도 극대화, 공정수 대폭 감소 가능(1/5~1/6 수준))

- 단, 기존 장비(ArF)의 2배 가격 / 박막 거울 사용(효율 30% 감소) / 시간당 웨이퍼 처리량 향상 필요

- 적용 분야 : 비메모리 반도체(선단공정, 7nm) / DRAM(1Xnm) 및 3D NAND(적층으로 생산성 향상)는 추후 도입 예정

- 참고 : https://blog.naver.com/shakey7/221375990132


* EUV 펠리클

출처 : E2 자료

- EUV는 펠리클을 2번 통과하기 때문에 광량 손실이 2회 발생

- 그렇기에 포토마스크 보호를 위해서는 펠리클이 반드시 필요 (소모품, 2주)

- 펠리클 기술이 아직 완성단계가 아니다.(조건 : 투과율 90% 이상, 높은 열내구성(1200℃) 등)

- 2021년 펠리클 수요 : 1만장 예상 (시장규모 3천억원) / 2024년 펠리클 수요 : 4.5만장 예상 (시장규모 1.4조원)

- 참고 : https://blog.naver.com/shakey7/221362788854


식각 공정 (Etching)

출처 : 삼성반도체

출처 : E2 자료

- 식각 공정 : 노광(감광액)을 통해 웨이퍼에 그려진 회로페턴을 정밀하게 완성하는 공정. 감광액이 없는 산화막을 제거하는 과정이다.

- 식각 종류 : 산화막 제거 방식에 따라 습식(용액)과 건식(플라즈마 : 이온화된 기체)으로 나뉜다.

- 건식 식각 : 높은 정확도로 인해 수율이 높으므로 대부분에 활용된다.

- 박리(Strip) : 식각 이후 감광제를 제거해주는 과정


* 불화수소(HF, 플루오린화 수소)

- 건식 식각 공정에 사용하는 기체가 바로 불화수소이다.

- 그 이유는 SiO2와 반응을 잘하고, 끓는점이 19.5도로 가스형태로 보관 용이

- 12N(순도 99.9999999999%), 5N(순도 99.999%), 4N(순도 99.99%)에 따라 수율이 좌우된다.

- 무수불산(AHF) : 고순도 불화수소의 원재료


* 실리콘/쿼츠 파츠(Si-parts)

- 캐소드, 링 등 다양한 형태가 있으며 생산수율을 결정하는 중요 부품

- 실리콘(Si) 및 쿼츠(Quartz=석영=실리카, SiO2로 구성)(*)를 소재로 제작

(* 쿼츠소재는 비열/비전도율 및 및 화학반응 내성이 좋다.)

- 소모품이다.(부품별 소모 주기는 다르다.)


박막 증착 공정 (Deposition)

출처 : 삼성반도체, E2 자료

- 증착 방법 : 물리적 기상증착방법(PVD, Physical Vapor Deposition) / 화학적 기상증착방법(CVD, Chemical Vapor Deposition)

- 금속막은 PVD(빔 증착 등), 절연체막은 CVD, ALD 방식을 주로 사용한다.


​ * 공정 과정

1) 이온주입(Ion Implantation)

- 불순물(이온 : 전도체 역할)(*)을 가스입자로 만들어 웨이퍼 전면에 균일하게 넣어줌. 이 과정을 통해 전류가 흐르는 전도성을 갖게 된다.(도체역할을 하는 금속)

(* N형 : 15족 원소(P, As) / P형 : 13족 원소(B))

- 이를 도핑(doping)이라고 한다.

2) 박막 증착 공정

- 이온주입을 마친 기판 위에 매우 얇은 박막을 입히는 과정

- 이때 만들어지는 박막은 절연체의 역할을 한다.(반도체를 보호하는 코팅제)


* 화학적 기상증착방법(CVD, Chemical Vapor Deposition)

출처 : E2 자료

- 전구체(Precursor)를 외부 에너지로 기화시킨 뒤 화학반응을 발생시켜 박막을 증착시키는 방법

- 증착 방식에 따라 다양한 방법이 있다.

- ALD(Atomic Layer Deposition) : 기판에 전구체 흡착 후, 반응물을 전구체와 치환시켜 증착시킨다. 이렇게 한층의 박막(Atomic Layer) 완성. 그리고 남은 가스를 배출시킨다.(이 방법을 반복하여 박막을 쌓아올린다.)

- PEALD(Plasma Enhanced Atomic Layer Deposition) : 플라즈마를 이용한 ALD (ALD의 개선판, 생산속도 개선)

- EUV 공정 : 얇은 증착 가능한 ALD 선호 (낮은 생산량 : 공정단계 축소로 해결)


* 전구체(Precursor)

- 박막을 증착하기 위한 용도로 사용되는 물질 (유기금속 화합물) (ALD에서 주로 활용된다.)

- 전구체를 통해 만들어진 박막은 절연막 역할을 한다.

- High-k(고유전율) : 전하를 많이 저장할 수 있다. 현재 DRAM의 capacitor(축전기)에 주로 사용

- Low-k (저유전율) : 전하를 적게 저장한다. 그렇기에 배선 사이의 절연막 증착으로 주로 사용(이동 속도가 높으므로)

- Si 전구체(HCDS, DIPAS), Zr 전구체, Ti 전구체 등 (High-k 전구체는 고마진)

- 종류도 매우 많고 복잡해서 추후에 따로 공부해보겠다.(참고 : https://www.youtube.com/watch?v=1KbTAyw_xjM)


금속배선 공정 (Metalization)

출처 : E2 자료

- 금속배선 또한 증착을 통해 이루어진다. 균일한 박막 형성을 위해 CVD, ALD 방식을 사용한다.

​ - 핵심은 금속 소재 : 알루미늄(Al), 티타늄(Ti), 텅스텐(W) / 구리(Cu)

- 각각의 소재마다 특성이 다르고 장단점이 있다.


* 알루미늄 배선

- 장점) 산화막(SiO2)과의 부착성이 좋고 가공성이 뛰어나다.

- 단점) 실리콘(Si)과 만나면 서로 섞이는 성질. 그로 인해 접합면이 파괴될 수 있다.

- 해결책) 베리어 메탈 박막 형성 → 접합면 보호

- 구리 배선보다 전기 전도도가 좋지 않아서​ 최근에는 구리를 많이 사용한다.


* 구리 배선

- 장점) 전기 전도도가 높다.(= 비저항이 낮다.)

- 단점) 건식 식각이 어려우며 산화막(SiO2)을 통한 확산이 일어난다. / 산화나 부식에 취약하다.

- 해결책) 다마신(Damascene) 공법

* 다마신 공법 (출처 : https://blog.naver.com/shaho3682/222064898288)


* CMP (Chemical Mechanical Polishing)

출처 : E2 자료

- 위의 다마신 공법에서 <9. 구리 화학/기계적 연마> 단계이다.

- 연마하려는 표면에 따라 슬러리(Slurry)의 소재가 달라진다.


▶ 후공정 과정

출처 : https://blog.naver.com/ruddud920513/222041350374

(1) 웨이퍼(프로브, Probe) 테스트 : EDS(Electrical Die Sorting). 1차 검사.

(2) 패키징 : 웨이퍼를 완전한 반도체 제품으로 만드는 과정

(3) 패키지 테스트 : 제품 출하 전 최종 검사

테스트 산업의 특성

- 테스트 산업은 장비(Tester, Handler)가 큰 비중을 차지한다.(테스트 장비 평균 가격 : 약 20억 원)

(주로 해외 업체가 생산을 담당한다.)

- 테스트 산업은 다품종 소량생산 / 팹리스를 통한 외주화가 가능한 시스템 반도체에서 더욱 발달되어 있다.

- 테스트 하우스 : 이러한 장비를 활용하여 테스트 서비스를 제공하는 업체

(주로 팹리스 업체의 외주를 받는다. 테스나, 하이셈, 네오셈 등)

- 테스트 부품

(1) Probe card (웨이퍼 테스트에 주로 사용)

(2) IC Test Socket (포고핀 타입 / 실리콘 러버 타입 : 장단점이 극명해서 서로 보완재로 작용한다.)


후공정 : EDS (Electrical Die Sorting)

출처 : 삼성반도체이야기

- 전기적 특성 검사를 통한 양품/불량품 선별 과정. 또한 여기서 나온 정보로 피드백을 실시하고, 수율 개선 연구가 진행됨

- 프로브 카드(Probe card)에 웨이퍼를 접촉시켜서 진행. 프로브 핀(Probe Pin)이 웨이퍼와 접촉해 전기를 보내고 그 신호를 통해 불량칩을 선별한다.

- Inking 공정을 마친 웨이퍼는 건조된 후, QC(Quality Control) 검사를 거쳐 패키징 공정으로 옮겨진다.


테스트 부품 : 프로브 카드(Probe card)

- 신기술(MEMS, 식각 방식 활용)을 통해 개선된 부품이 생산된다.

- 시스템(비메모리) / 메모리 각각의 프로브 카드가 다르다.

(메모리 향 프로브카드는 벤더가 몇 없어서 경쟁력이 있다. 그 중 <티에스이> 주목)

- 소모성 부품이다.


후공정 : 패키징, 패키지 테스트

출처 : E2 자료 (플립칩에는 와이어 본딩 대신 범핑(볼 심는) 과정이 들어감)

출처 : E2 자료

- 전공정 과정을 거친 웨이퍼에서 칩을 잘라내어 최종 제품(반도체 패키지)을 만드는 과정

- 반도체(IC)를 외부환경으로부터 보호하고, 전기적으로 연결시켜준다.

- 패키징 단계는 패키지 타입에 따라 달라진다.


패키징 단계 (부품 '연결'이 핵심)

출처 : https://news.skhynix.co.kr/2187

1) 내부 연결 형태

A. 범프 타입(=플립칩 타입)

- 범프 : 전기가 통하는 금속 물질로 만들어진 매우 작은 직경의 볼

- 와이어 없이 범프를 통해 캐리어=기판(substrate)과 칩을 바로 연결

- 범프 타입은 패키지의 부피를 줄이고, 소비전력과 신호의 흐름을 개선 (연결 방식의 혁신)

- 참고 블로그 : https://blog.naver.com/notealus/220837731223

B. TSV (Through Silicon Via)

- 적층 구조의 패키징. 칩 패키지의 밀도를 높인 구조

- Via Hole을 뚫어 실리콘 관통전극으로 신호선을 연결하는 방식

- 신호가 빠르고 고밀도화가 가능하다.

* 공통

- 내부연결 이후 몰딩콤파운드를 활용해 성형(연결부위의 화학수지 밀봉)을 한다.

- 몰딩콤파운드 : 제품 최종성형 및 부품고정을 위해 사용한다. 제품 내부의 열을 밖으로 빼내고 제품을 보호하는 역할도 한다.(세라믹, 금속, 플라스틱, EMC(Epoxy Molding Compound) 등)

2) 외부 연결 형태

- 시스템보드와 연결시키는 과정이 외부연결이다.

- 내부연결과 마찬가지로 볼(BGA, Ball Grid Array) 방식을 주로 사용

- 리드프레임 연결은 면적을 줄이는데 한계가 있어 일부 국한된 경우에만 사용된다.(SOIC, SOJ, QFP 등)

출처 : https://news.skhynix.co.kr/2187

- 시스템보드와 연결시키는 과정이 외부연결이다.

- 내부연결과 마찬가지로 볼(BGA, Ball Grid Array) 방식을 주로 사용

- 리드프레임 연결은 면적을 줄이는데 한계가 있어 일부 국한된 경우에만 사용된다.(SOIC, SOJ, QFP 등)

3) 실장 방식

출처 : https://news.skhynix.co.kr/2187

- 표면 실장(Surface Mounter Technology, SMT)이 대부분 쓰인다.(솔더볼을 활용한 BGA가 대표적)

- 인터포저(Interposer) : 시스템보드와 칩 사이에 들어가는 기능성 패키지 기판


패키징 기술의 발전 (WLP, FOWLP, FOPLP)

출처 : http://www.epnc.co.kr/news/articleView.html?idxno=97055

1) WLP (Wafer Level Package)

- 웨이퍼 다잉(자르기) 단계 전에 먼저 몰딩을 하고 솔더볼을 부착함. 그 이후 다잉을 진행하는 공정

- 여기서 캐리어=기판이 없어진다. 이를 대체하는 공정이 RDL(Redistribution Layer) 공정

- 패키지 두께가 감소하며, 수율이 확보되면 원가절감이 가능하다.


2) FOWLP (Fan Out WLP) (TSMC)

출처 : https://blog.naver.com/cbhong73/220772828608

- AP(Application Proccessor, 스마트폰용 칩)는 I/O(입출력 단자)만 수만 개

- 이러한 I/O는 크기가 다양하므로 이에 맞는 솔더 범프 개발이 어렵다.

- FOWLP는 동일한 크기의 솔더 범프 사용이 가능한 공정

- TSMC는 해당 공정을 통해 칩 제조부터 패키징까지 직접 수행 (경쟁력의 핵심)


​3) FOPLP (Fan Out Panel Level Package) (삼성전자, 삼성전기)

출처 : https://blog.naver.com/shakey7/221394921896

- WLP와 달리, 웨이퍼에서 떼어낸 다이를 PCB 패널에 다시 넣은 후 몰딩을 함. 그리고 RDL 및 솔더볼 배치하고 다잉 진행

- WLP는 웨이퍼(원형)을 사용 / PLP는 직사각형 기판을 사용 (이 덕분에 손실률이 감소하여 생산 효율성 증대)

- PLP가 소형화 및 생산원가 절감 측면에서도 우위

- <네패스>가 기술개발 중


▶ 테스트 부품 : IC Test Socket

출처 : 티에스이 IR 자료

- 인터페이스 보드(Interface Board)와 반도체 패키지를 서로 연결해주는 역할 (*)

(* 인터페이스 보드와 IC 테스트 소켓은 세트)

- 반도체 제품마다 맞춤형으로 설계된다.(반도체에 탈부착되기 때문이다.)

- 종류 : 포고핀 타입(리노공업 등) / 실리콘 러버 타입(ISC 등) (장단점이 극명해서 서로 보완재로 작용한다.)

- 포고핀 타입 : R&D, 비메모리에 강점 / 실리콘 타입 : 메모리, 대량생산에 강점


▶ 기타 용어

- Handler(핸들러) : 테스터 장비에 웨이퍼를 넣고 꺼내주는 물류로봇 장비

(참고글 : 호돌이님 포스팅 - 칩의 분류와 핸들러)

- SPI(납포도 검사장비), AOI(부품실장 검사장비), MOI(기계가공 검사장비) : <고영>의 광학검사 장비


맺으며

* 삼성반도체 용어 모음

이것만은 꼭! 반도체 용어 모음.ZIP

삼성반도체이야기는 지난 2013년부터 다양한 반도체 용어를 소개해 왔습니다. 많은 양의 콘텐츠가 쌓인 만큼 그 동안 알려드린 반도체 용어를 총정리할 수 있는 콘텐츠를 준비했는데요. 반도체 분야에 관심이 많..

www.samsungsemiconstory.com

'기술/용어사전' 카테고리의 글 목록

삼성전자 반도체 공식 블로그. 삼성전자 채용, 반도체 공정, 반도체 직무, 반도체 환경안전, 반도체 웹툰, 반도체 영상, 용인/화성/평택 소통협의회, 소통블로그 등 소개

www.samsungsemiconstory.com

[출처] [산업] 반도체 핵심용어 및 Flow 정리|작성자 행복한동행

 

Comments